前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >什么是Forwarded Clock?

什么是Forwarded Clock?

作者头像
猫叔Rex
发布2024-02-22 08:14:41
2000
发布2024-02-22 08:14:41
举报
文章被收录于专栏:科学计算科学计算

Forwarded Clock是一种时钟信号管理技术,用于在不同部件之间同步数据和控制信号。Forwarded Clock的目的是减少时钟偏斜(clock skew)和提高系统的整体性能和可靠性。这种方法特别关注于如何有效地将时钟信号从一个系统部分传递到另一个部分,以确保整个系统同步运行。

Forwarded Clock翻译成中文就是转发时钟,由于系统同步可能会带来较大的时钟skew,就采用源同步的方案,此时就是需要将这个时钟再转发出去。

在AMD FPGA的官网上,有这样的解释:

代码语言:javascript
复制
A forwarded clock is term that is usually used with a source synchronous output interface.
In a source synchronous output interface, the FPGA sends clock and data to an external device (eg. a digital-to-analog converter (DAC)).
As you correctly mentioned, the ODDR is often used to send the forwarded clock out of the FPGA – as shown in the schematic below.

image-20240202153737229

从图中很明显可以看出,Forwarded Clock其实也是一种Generated Clock,约束如下:

代码语言:javascript
复制
create_generated_clock -name FCLK1 -source [get_pins ODDR1/C] -divide_by 1 [get_ports SSO1_CLK]

当然,也可以采用下面的方式:

image-20240202152406394

image-20240202154805415

为什么要使用ODDR来驱动,而不是直接将时钟输出呢?

代码语言:javascript
复制
Output DDR can forward a copy of the clock to the output. This is useful for propagating
a clock and DDR data with identical delays, and for multiple clock generation, where every
clock load has a unique clock driver. This is accomplished by tying the D1 input of the
ODDR primitive High, and the D2 input Low. Xilinx recommends using this scheme to
forward clocks from the FPGA logic to the output pins.
本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2024-02-04,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 傅里叶的猫 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档