前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
圈层
工具
发布
首页
学习
活动
专区
圈层
工具
MCP广场
社区首页 >专栏 >Vivado中的时序分析的两个常用指令

Vivado中的时序分析的两个常用指令

作者头像
猫叔Rex
发布于 2024-05-31 12:23:50
发布于 2024-05-31 12:23:50
2730
举报
文章被收录于专栏:科学计算科学计算

report_qor_assessment

综合后,执行report_qor_assessment,该命令可对设计进行整体的评估,并给出一个分数,以表征时序收敛问题的严重程度

执行完之后,可以看到Score为2:

Vivado也给出了下一步的建议,执行report_methodolygy命令,该命令在GUI的界面中也有,所以直接点击也可。

在下面的打印报告中,会有一些提示我们需要Review的,后面我们要对这些格外关注一下。

report_methodology

该指令页面如下:

可以看到下面的结果,该指令会将Timing分析中的一些warning都显示出来:

本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2024-05-27,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 傅里叶的猫 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
暂无评论
推荐阅读
编辑精选文章
换一批
【Vivado那些事】Vivado中常用的快捷键(一)F4键
F4键应该是Vivado中最常用、最重要的快捷键。其功能在于,一旦选中某个对象(可以是Cell、Net、Pin、Path等),按下F4键,就会在chematic视图中显示该对象。例如,在通过report_timing_summary生成时序报告后,选中一条时序路径,按下F4键就会显示该路径的具体电路,如下图所示。
碎碎思
2020/12/30
1.6K0
【Vivado那些事】Vivado中常用的快捷键(一)F4键
时序收敛之Baseline
在ISE时代,很多工程师习惯使用SmartExplorer的方法实现时序收敛。首先,这种方法适用于时序接近收敛的情形;同时,这种方法其实是一种扫描策略的方法,无需工程师过多关注。因此,在很多案例中,这种方法就显得简单且高效,但其不利之处在于工程师们并没有真正找到导致时序违例的根本原因。
Lauren的FPGA
2020/06/17
1.3K0
时序收敛之Baseline
如何优化高扇出net?
从UFDM角度讲,优化高扇出net应尽可能在设计初期完成,而且,在设计初期也较容易去做。高扇出net带来的显著问题是由于负载过多,导致某些路径的线延迟过大,从而出现时序违例;有时还会造成布线拥塞。
Lauren的FPGA
2019/10/30
2.6K0
Vivado时序收敛技术(一) Baseline基础理论
有很多内容也在我的时序约束课程中讲到过,都是免费课程,大家可以在公众号上找到。(下面的链接中也有)
猫叔Rex
2020/08/13
2.8K0
Vivado时序收敛技术(一) Baseline基础理论
深度解析ug1292(1)
ug1292第一页的主题是初始设计检查。这一步是针对综合后或者opt_design阶段生成的dcp。尽管在Vivado下,从功能仿真到综合、布局布线、直至生成.bit文件是相对自动化的流程,但是解决时序违例仍然是一个复杂且耗时的过程。仅仅靠log信息或者布线后的时序报告往往很难定位,这是因为实现过程中的每一步(opt_design逻辑优化,place_design布局, phys_opt_design物理优化, route_design布线)都会做一些优化,这些优化可能会导致关键路径被掩盖,例如,有时发现设计中逻辑级数(Logic Level)较高的路径时序收敛了,反倒是逻辑级数较低甚至为0的路径出现时序违例。因此,采取按部就班的策略,检查每一步的结果,及时且尽早发现设计中的问题是很有必要的。
Lauren的FPGA
2019/10/30
1.5K0
FPGA Xilinx Zynq 系列(二十七)Vivado HLS: 近视 之 项目剖析
今天给大侠带来FPGA Xilinx Zynq 系列第二十七篇,开启十五章,讲述Vivado HLS: 近视之项目剖析等相关内容,本篇内容目录简介如下:
FPGA技术江湖
2020/12/30
2.2K0
Vivado机器学习策略怎么用
Vivado机器学习策略随着版本的更新也一直在演进,在最新发布的2022.1版本中,机器学习策略的用户友好性进一步增强。
Lauren的FPGA
2022/08/23
5680
Vivado机器学习策略怎么用
FPGA 高级设计:时序分析和收敛
什么是静态时序分析?静态时序分析就是Static Timing Analysis,简称 STA。它可以简单的定义为:设计者提出一些特定的时序要求(或者说是添加特定的时序约束),套用特定的时序模型,针对特定的电路进行分析。分析的最终结果当然是要求系统时序满足设计者提出的要求。
FPGA技术江湖
2020/12/30
1.2K0
Vivado下不可不知的快捷键
快捷键,ctrl+C, ctrl+V, win+D, win+L等等,顾名思义,使用它们可以脱离鼠标快速执行目标任务。同样地,Vivado也提供了很多快捷键。
Lauren的FPGA
2019/10/31
4.5K0
FPGA时序约束理论篇之时钟周期约束
  时钟周期约束,顾名思义,就是我们对时钟的周期进行约束,这个约束是我们用的最多的约束了,也是最重要的约束。
猫叔Rex
2020/06/30
2.4K0
FPGA时序约束理论篇之时钟周期约束
【Linux】常用指令(中)(附带基础指令的详细讲解、Linux的一些附加知识)
在操作系统 && 常用指令(上)这篇文章中,我给大家讲解操作系统概念的同时还介绍了一些基本的Linux操作指令。诸如:ls指令、pwd指令、mkdir指令、touch指令等等。说"操作系统是一款管理软硬件的程序",对下管理资源,对上提供服务!
埋头编程
2024/10/16
810
【Linux】常用指令(中)(附带基础指令的详细讲解、Linux的一些附加知识)
DC的逻辑综合与优化
对进行时序路径、工作环境、设计规则等进行约束完成之后,DC就可以进行综合、优化时序了,DC的优化步骤将在下面进行讲解。然而,当普通模式下不能进行优化的,就需要我们进行编写脚本来改进DC的优化来达到时序要求。理论部分以逻辑综合为主,不涉及物理库信息。在实战部分,我们将在DC的拓扑模式下进行。(本文主要参考虞希清的《专用集成电路设计实用教程》来写的总结整理与实验拓展)主要内容有:
数字芯片社区
2020/07/20
2.9K0
DC的逻辑综合与优化
【Vivado那些事儿】两种创建工程的方法
本篇介绍了两种创建工程的方法,一种是根据向导进行创建,一种是直接使用tcl指令进行创建,还简单介绍了工程参数在哪进行更改。
狂人V
2020/12/22
8500
【Vivado那些事儿】两种创建工程的方法
Vivado中用于时钟操作的几个Tcl命令
理论上,使用Tcl可以在Vivado上完成一切操作,但是没必要,因为命令太多,很难记忆,我们只需要知道几个常用的即可,方便我们使用Vivado。
Reborn Lee
2021/10/11
9060
极说・IC圆桌派复盘笔记之闲话DV
说实话,我们都希望疫情可以很快过去,但真不知道何时能过去,焦虑和恐慌仍然笼罩在每个家庭的心头,2/10号复工,几家欢喜几家愁,自上班以来就没放过这么长的假,但苦了企业主们,工资照发,房租照缴,这些都是成本。
老秃胖驴
2020/02/17
1.6K0
极说・IC圆桌派复盘笔记之闲话DV
【Vivado那些事儿】Vivado环境一览
在我看来,用好一个工具的前提,就需要对工具有比较清晰的认识,不然对工具都不熟悉,遇到一些关乎工具上的问题时,会根本无从下手,也会在一定程度上影响项目的开发,所以很多时候,在进行一些项目的时候,团队里使用的开发工具的版本都会进行一定的统一,而不能一味的追求新版本,就是为了减少工具引起问题,从而提高项目进展速度。(PS:个人使用就看你自己的心情了)
狂人V
2020/12/08
1.4K0
【Vivado那些事儿】Vivado环境一览
【第十章鲁棒性检查 上】静态时序分析圣经翻译计划
通常,工艺和各环境参数在芯片的不同部分上可能不一致。由于工艺差异,芯片上不同部分的相同MOS晶体管可能没有相似的特性,这些差异是由于芯片内部的工艺差异引起的。请注意,多个制造批次中的工艺参数差异可能会覆盖慢工艺到快工艺(2.10节中所介绍)。在本节中,我们讨论的是对一个芯片上可能存在的工艺差异(称为局部工艺差异)的分析,该差异远小于多个制造批次之间的差异(称为全局工艺差异)。
空白的贝塔
2021/01/28
8500
【第十章鲁棒性检查 上】静态时序分析圣经翻译计划
FPGA Xilinx Zynq 系列(二十八)Vivado HLS: 近视 之 算法综合
今天给大侠带来FPGA Xilinx Zynq 系列第二十八篇,讲述Vivado HLS: 近视之算法综合等相关内容,本篇内容目录简介如下:
FPGA技术江湖
2020/12/30
1.4K0
FPGA STA(静态时序分析)
大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分。大侠可以关注FPGA技术江湖,在“闯荡江湖”、"行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢。
FPGA技术江湖
2020/12/29
1.5K1
FPGA STA(静态时序分析)
工作中总结的30个常用Linux指令,实在记不住就别硬记了,看这篇就够了
最近发现自己记忆力严重下滑,很多sql命令,linux命令都记不住,特别是linux命令,很多命令参数很多,一段时间不用,再去使用就需要从网上重查了,很烦人,为此花了一些时间把之前笔记中的Linux命令给整理了一下,汇总出30个常用的分享出来,下次再想不起来直接看这篇文章就行了。
JavaBuild
2024/05/27
2490
工作中总结的30个常用Linux指令,实在记不住就别硬记了,看这篇就够了
推荐阅读
相关推荐
【Vivado那些事】Vivado中常用的快捷键(一)F4键
更多 >
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档