前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >Vivado 2024.1有哪些新特性?(1)

Vivado 2024.1有哪些新特性?(1)

作者头像
Lauren的FPGA
发布2024-06-21 12:26:11
1650
发布2024-06-21 12:26:11
举报
文章被收录于专栏:Lauren的FPGALauren的FPGA
Vivado 2024.1已正式发布,今天我们就来看看新版本带来了哪些新特性。

Open Dataflow Design

无论是Synthesis阶段还是Implementation阶段,打开Vivado图形界面,在导航栏下都能看到新增了一个选项Open Dataflow Design,如下图所示。这个功能对于我们分析系统的数据流非常有用。

如下图所示,显示了一个设计的DFV(DataFlow Viewer)视图,可以看到DFV只会显示模块的输入/输出管脚以及和其他模块的连接关系,进而表征了数据流向,这正是其聚焦点。一些控制信号如时钟、复位、读/写使能以及读/写地址等被剔除。这也是其与常规的Schematic视图的区别。DFV的一个典型应用场景是手工布局:根据互联程度判定关键模块,进而在画Pblock时将这些关键模块放置在同一个Pblock内。

此外,一旦打开DFV视图,Vivado还会同时给出设计流水的层次化视图,如下图所示,便于用户观察某个模块下的数据流。

GEN_REPORTS_PARALLEL

Vivado 2024.1的Implementation Run新增了一个属性:GEN_REPORTS_PARALLEL,默认情况下该属性是被勾选上的,如下图所示。顾名思义,其作用是在Implementation阶段并行生成各种报告,从而缩短编译时间。下图显示了用Vivado 2023.2创建的工程Implementation所需的编译时间(impl_1),将此工程采用2024.1进行编译,其中impl_1_copy1勾选了GEN_REPORTS_PARALLEL属性,而impl_1_copy2没有勾选该属性,可以看到两者有8秒的时间差异,同时相比于2023.2,编译时间缩短了38.26%。

USER_CLOCK_VTREE_TYPE

如果目标芯片是Versal SSI芯片,如VP1502或VP1902,该属性可用于针对设计中的指定时钟设置时钟Vtree类型,其可选值有3个,分别为InterSLR、intraSLR和balanced。默认情况下为InterSLR。此外,place_design还专门新增了一个选项-clock_vtree_type,如下图所示。该选项也有3个可选值,与USER_CLOCK_VTREE_TYPE可选值一致。不同之处在于该选项是全局选项,而USER_CLOCK_VTREE_TYPE是针对指定时钟。但两者的目的的相同的,都可改善时钟偏移(Clock Skew)。

Copyright @ FPGA技术驿站

转载事宜请私信 | 获得授权后方可转载

本文参与 腾讯云自媒体同步曝光计划,分享自微信公众号。
原始发表:2024-06-20,如有侵权请联系 cloudcommunity@tencent.com 删除

本文分享自 FPGA技术驿站 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与 腾讯云自媒体同步曝光计划  ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档