腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
圈层
工具
MCP广场
文章/答案/技术大牛
搜索
搜索
关闭
发布
文章
问答
(9999+)
视频
沙龙
1
回答
二进制
写入
SystemVerilog
、
、
、
在我的测试平台中,我尝试用
SystemVerilog
编写
二进制
文件。if (!
浏览 32
提问于2016-07-21
得票数 1
1
回答
使用
Systemverilog
读取,然后打印
二进制
文件。第一个字节读取和打印确定,麻烦\w字节包含一个1的毫秒位位置遇到
、
、
、
下面的
Systemverilog
代码是一个单一的文件测试平台,它使用$fread将
二进制
文件读入内存,然后打印内存内容。
二进制
文件是16个字节,它的视图包含在下面(这就是我期望
Systemverilog
代码打印的)。 输出与我所期望的前6 (0-5)字节相匹配。
Systemverilog
规范1800-2012在21.3.4.4节中声明,读取
二进制
数据可以使用$fread读取
二进制
文件,并继续说明如何读取
二进制
文件。我相信这个例子是符合该节所述的。也许<
浏览 3
提问于2020-07-20
得票数 3
回答已采纳
3
回答
使用命名管道创建读写环境
、
、
我正在编写
SystemVerilog
,我想模仿标准输入和标准输出。我只能使用文件,因为环境中不支持普通的stdin和stdout。我想使用命名管道来模拟stdin和stdout。我了解如何使用mkpipe创建to_sv和from_sv文件,以及如何在
SystemVerilog
中打开和使用它们。通过使用"cat > to_sv“,我可以向
SystemVerilog
模拟输出字符串。但这也会输出我在shell中输入的内容。我输入的任何内容都会直接输出到"to_sv",而
写入
"from
浏览 5
提问于2011-07-07
得票数 0
2
回答
如何在数据流中找到两个向量?
、
我正在使用ModelSim并实现一个ALU。这是分配部分: (op_i == sub ) ? scr1-scr0: (op_i == shift_r) ? {scr0[0],cin,scr0[15:1]}: (op_i == ar_shift_r) ? {sc
浏览 3
提问于2014-09-26
得票数 0
回答已采纳
1
回答
如何使用VPI向
Systemverilog
关联数组添加新键
、
我正在尝试使用VPI从C访问
Systemverilog
关联数组。如果键已经存在,我可以使用以下代码将值
写入
到键的数组元素中。类似地,我还希望使用VPI将一个值推送到
Systemverilog
队列。如何使用VPI实现push_back(val)方法?
浏览 138
提问于2018-08-09
得票数 0
回答已采纳
2
回答
如何创建数组以在testbench中存储整数?
、
、
但是,我想编写它,以便如果有错误,它将保存
二进制
数字,在输入和输出之间存在不匹配。在C++中,我会创建一个数组,并将它与检测到不匹配的
二进制
数字一起动态分配(因为我们不知道如果设计有问题,会有多少错配)。我知道我会在增加j的条件中
写入
数组,但我不知道如何在Verilog中创建用于此目的的数组。另外,我听说
SystemVerilog
更适合于验证,所以也许
SystemVerilog
中有什么东西可以用来完成这个任务呢?我还没有真
浏览 1
提问于2021-09-24
得票数 1
回答已采纳
2
回答
无法
写入
systemverilog
邮箱
、
、
我在UVM SV测试台中使用邮箱,在尝试
写入
邮箱时遇到了一些问题。数据一直到达writetrans(*)函数,最终即使邮箱中有空间,它也无法
写入
。
浏览 1
提问于2014-04-12
得票数 0
1
回答
如何在中刷新文件缓冲区?
、
在我的模拟中执行$finish之前,我想清除一个文件缓冲区。我可以使用文件刷新命令吗?或者我必须简单地使用$fclose?我意识到在这个场景中我可以关闭这个文件,但是我想知道是否有一个用于将来使用的刷新命令。
浏览 1
提问于2011-03-25
得票数 4
回答已采纳
2
回答
支持Verilog和
SystemVerilog
在约西的手册里我读过 -sv2005 -sv2009 -sv2012C.113 read_verilog -从Verilog文件读取模块 这里有简明扼要的规范吗?如果没有,指导方针是什么?哪个Verilog和哪个
SystemVerilo
浏览 4
提问于2019-12-19
得票数 1
1
回答
使用管道将信息从System verilog Testbench传递到C++程序
、
、
我希望能够通过管道将信息从System Verilog testbench传递到c++程序。有没有办法实现这一点。
浏览 1
提问于2019-04-20
得票数 0
2
回答
大型
SystemVerilog
约束的示例
你能举一个大而复杂的
SystemVerilog
约束的例子吗?越大越好,最好是现实的。也许一些地址计算也依赖于其他一些变量。我正在评估将我们的IP转换为使用
SystemVerilog
约束,我的管理层想看看创建/理解
SystemVerilog
约束有多容易/多难。
浏览 0
提问于2012-10-31
得票数 2
回答已采纳
1
回答
SystemVerilog
:使用写指针
写入
数组
、
、
、
、
假设我有一个特定的字节缓冲区和这个缓冲区的写指针,如下所示:reg[$clog2(N+1)-1:0] wptr;现在,假设我的输入数据也是一个字节块,如下所示:其中M < N。mybuffer[wptr +: M] = indata;然而,这似乎不是一种非常干净的方法来解决这个问题,并导致使
浏览 6
提问于2020-06-30
得票数 0
4
回答
C中未定义的对“`main”的引用
、
、
、
、
0.8; FFT_in[10] = 0.1; //for(i=0;i<size;i++) $display("Exiting from
SystemVerilog
Initial
浏览 0
提问于2013-08-06
得票数 2
2
回答
如何在系统verilog中声明动态数组
、
我试图在
SystemVerilog
源代码中声明一个动态数组,但得到的错误如下: 我使用的工具是ModelSim。
浏览 1
提问于2015-04-16
得票数 0
1
回答
预处理器可以判断是否启用了
SystemVerilog
吗?
、
我希望能够编写这样的代码: ..
systemverilog
code here.`endif 但是
SystemVerilog
参考手册似乎并没有引用任何这样的标准预定义常量。这似乎是一个疏忽--有没有一种简单的方法来完成我想要做的事情?
浏览 0
提问于2013-03-06
得票数 0
1
回答
Icarus不知道如何解析localparam数组?
、
、
我正在使用v10的Icarus,Windows8.1,并且在编译一维数组时遇到了困难,例如: 0, 21840, 43680,};localparam [1:0] B[0:5][0:2] = { {2'b10, 2'b00, 2'b01}, {2'b10, 2'b01, 2'b0
浏览 3
提问于2017-06-02
得票数 1
回答已采纳
2
回答
如何将
systemverilog
中的有序端口列表更改为命名端口列表?
现在我正在尝试实现
systemverilog
教程, 特别是,我指的是
SystemVerilog
的开关教程。有人知道如何从
SystemVerilog
中的有序端口列表更改为命名有序端口列表吗?
浏览 7
提问于2016-02-22
得票数 1
回答已采纳
2
回答
用VHDL、Verilog、sim行为将无效地址
写入
RAM
、
、
、
、
begin ram[a] <= di; assign do = ram[a]; 现在假设我们已经将有效数据
写入
浏览 0
提问于2017-07-08
得票数 1
回答已采纳
2
回答
有没有办法在osx上运行免费可用的
systemverilog
测试平台
、
、
我正在尝试在OSX Yosemite (10.10.3)上为
Systemverilog
运行一些测试平台。据我所知,我能使用的免费模拟器只有Verilator和Icarus,前者不能处理测试台,后者显然只能处理“非常少”的
SystemVerilog
。有没有可以在mac上用来运行
SystemVerilog
测试平台的?
浏览 0
提问于2015-06-05
得票数 0
1
回答
SystemVerilog
0和'0有什么不同?
在执行以下
SystemVerilog
代码时(编译并使用Questa运行)$display("%b %b %b", test, test ==8'b00000000 == 0, 8'b00000000 == '0);11111111 1 100000000 1 1 我的问题是关于第二输出行的第二个数字:
二进制
浏览 1
提问于2017-04-12
得票数 4
回答已采纳
点击加载更多
相关
资讯
SystemVerilog|UVM|Sequence这份干货太干了
Verilog/SystemVerilog 设计编码风格指南
数字硬件建模-Verilog篇阶段总结及SystemVerilog篇介绍
SystemVerilog|UVM|如果你要搞很多Sequence,请看过来
PHP 文件创建/写入
热门
标签
更多标签
云服务器
ICP备案
实时音视频
对象存储
即时通信 IM
活动推荐
运营活动
广告
关闭
领券