腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
VHDL增加10位程序计数器1
我正在尝试使用VHDL
中
修改
的
MIPS
指令集来制作32位CPU .我目前正试图使我
的
程序计数器为下一条指令增加1,除非是跳转指令,程序计数器将等于跳跃
值
。
PC_OUT
: out STD_LOGIC_VECTOR (9 downto 0); --PC out to instruction memory Jump_Inst : in STD_LOGIC_VECTOR(clk) then --If it is the next clock cycle (i.e time
浏览 4
提问于2016-05-27
得票数 0
回答已采纳
1
回答
在
MIPS
中
执行
代码
后
的
PC_in
和
PC_out
值
、
我目前正在为我
的
计算机架构课而学习,偶然发现了这个练习。 ? 问题是:“
执行
sub时,
PC_In
的
值
是什么?
PC_Out
的
值
是什么?”我假设输入是0x10000004,输出是0x10000008,但显然是相反
的
。有没有人能解释一下?谢谢
浏览 37
提问于2021-06-30
得票数 0
回答已采纳
1
回答
奇怪
的
行为VHDL (
MIPS
多周期处理器
中
基于事件
的
if语句进程)
因此,我们有一个正在运行
的
代码
,它正在创建一个
mips
处理器。问题是我们将原始PC实例化为包含全0
的
32位std逻辑向量。启动此操作
的
代码
如下。process (reset_N) if (reset_N'event and reset_N = '1' ) then end if ; e
浏览 1
提问于2014-05-29
得票数 0
1
回答
VHDL仿真不显示波形
、
、
我用VHDL编写了
代码
,并使用Active HDL学生版来使用testbench编译
和
模拟
代码
。当我模拟500 As时,信号改变了,但是波形上
的
信号被卡在U上,没有任何显示。我似乎找不到造成这个问题
的
原因。这是我
的
实体
代码
:use ieee.std_logic_1164.all; end if;
浏览 1
提问于2016-12-03
得票数 0
回答已采纳
1
回答
如何为使用所提供
的
汇编程序
代码
创建
的
机器
代码
编写
MIPS
行为模拟器?
、
、
、
这个
MIPS
模拟器将在一个由LC3100机器
代码
指令(表示为十进制
值
)组成
的
文本文件
中
读取,并
执行
程序,然后
在
每条指令完成
后
显示寄存器文件
和
内存
的
值
。我不明白如何做到这一点,只是需要一个格式,我需要采取什么步骤,以创建模拟器
的
MIPS
。我是用C++编写
代码
还是用
MIPS
编写
代码
?如果文件
在
<e
浏览 20
提问于2022-11-01
得票数 -1
2
回答
VHDL内部信号改变输出-不工作?
、
、
、
我有这个PC模块,非常简单(
代码
在
末尾)。我首先生成一些输入信号port_int,在这个过程
的
末尾,比如
pc_out
<= port_int。我
的
目标是增加或增加或减去PC,取决于输入信号。
在
模拟
中
,内部port_int信号工作正常,但
pc_out
不工作。为什么会发生这种情况?看看模拟: 看看port_int是如何改变
的
,而
pc_out
却迟到了。在后来
的
模拟
中
,
浏览 4
提问于2013-12-18
得票数 2
回答已采纳
1
回答
使用SUM更新表
、
CREATE TABLE `pettycash` ( `pc_date` date NOT NULL, `
pc_out
` double(13,2) DEFAULT '0.00', `pc_bal` double(13,2) DEFAULT,但是我有一个简单
的
问题,那就是更新特定日期
的
余额。每次插入时,我都会运行以
浏览 1
提问于2010-11-15
得票数 0
回答已采纳
2
回答
MIPS
软件
和
lw问题
、
我已经看到
在
MIPS
中使用sw
和
lw
的
例子,指令看起来像这样。我
的
第一个问题是,0()是做什么
的
?如果是lw $6,4($4)呢?我试图
在
mips
程序中进行测试,但每当我
执行
该程序时,lw
和
sw似乎都不会用任何值更新寄存器。例如,如果我有下面的
代码
lw $t2, 0($t1) 我希望$t2会更新到某个
值
,但事实并非如此。
浏览 5
提问于2011-07-11
得票数 1
2
回答
在
Verilog
中
,模拟输出等于zzzzzz
代码
非常简单,有一堆if将某个
值
放在变量BUS
中
,问题是
在
模拟时,总线输出等于: zzzzzzzzzzzzzzzz,而它应该有与if情况相对应
的
特定
值
。module Bus (AR_OUT, IR_OUT, DR_OUT,
PC_OUT
, AC_OUT, MEM_OUT, read, S, BUS); input[11:0]
PC_OUT
; input [15
浏览 3
提问于2013-01-28
得票数 0
回答已采纳
1
回答
如何从
MIPS
程序集打印到屏幕
、
、
、
lw $t1,8($t0) beq $t1,$zero,polling我被告知这是如何做
的
,但当我运行模拟器与显示器
和
键盘添加时,我仍然
在
屏幕上没有输出。
浏览 0
提问于2012-04-26
得票数 1
回答已采纳
2
回答
JAL混淆:$ra = PC+4还是PC+8?
、
我很难理解指令jal是如何在
MIPS
处理器
中
工作
的
。我
的
两个问题是:b)如果真的是PC+8,那么PC+4
的
指令会发生什么变化?它是
在
跳转之前
执行
还是从未
执行
?载于Patterson and Hennessy (第四版),第113页: 跳转
和
链接指令:跳到地址并同时将下列指令
的
浏览 2
提问于2012-03-03
得票数 17
1
回答
MIPS
例外是什么意思?
、
、
、
最近我一直在学习程序集,我似乎无法理解异常是如何准确地工作
的
。更具体地说,我得到
的
消息异常6发生并被忽略。有人能解释一下这到底是什么意思吗?我用
的
是qtspim。
浏览 3
提问于2017-05-14
得票数 0
1
回答
使用python模拟将用户输入发送到spim
、
、
、
我试着用
mips
.stdin.write(b"10")
mips
.stdin.write(b"15")但它完全跳过了等待用户输入
的
过程enter first va
浏览 18
提问于2020-06-04
得票数 0
回答已采纳
1
回答
基于SPIM
的
MIPS
sra指令
、
我目前正在使用SPIM (QTSpim)来了解
MIPS
。我有一些关于SPIM命令以及它们是如何工作
的
问题。谢谢。
浏览 2
提问于2017-10-09
得票数 0
回答已采纳
3
回答
Android SDK管理器
中
的
MIPS
系统镜像是什么?
、
、
对于每个SDK,我都有一个安装
MIPS
system image
的
解决方案。 那是什么?它能做什么,什么时候会有人使用它?如果我不安装它会发生什么?
浏览 5
提问于2012-11-16
得票数 55
回答已采纳
1
回答
从Python
中
的
新数据中计算主组件
、
、
0.5057042 -2.367950 -0.3184774 -2.388777 0.674767 现在我想确定PC-1
的
一组新
的
值
'sl','sw','pl‘
和
’-‘,例如: 4.8,3.1,1.3,0.2。编辑:正如注释中提到
的
,我可以通过命令pca.transform(new_data)获得新数据
的
PC
值
。然而,我感兴趣<
浏览 0
提问于2018-03-29
得票数 0
回答已采纳
1
回答
如何从
MIPS
中
的
主函数返回
值
?
、
假设我想用
MIPS
编写以下C程序: return 5;当我
在
中
尝试以下
MIPS
代码
时 li $v0, 10 # load 10(exit) for syscall
执行
此操作
后
,$v0寄存器包含
的
值
为10
浏览 3
提问于2013-09-10
得票数 2
回答已采纳
1
回答
C/C++到
MIPS
程序集
、
、
我知道要编译成程序集,我应该在gcc或g++中使用-S选项,但是如何获得
MIPS
程序集呢?我试过了但这给出了错误我看到了编译命令
mips
_gcc
的
建议,但我找不到如何安装该编译器。我正在使用64位
的
Ubuntu,如果这有帮助的话。
浏览 1
提问于2012-10-20
得票数 3
回答已采纳
2
回答
将C语言转换为
MIPS
64程序集
、
、
、
我已经用C写了一些
代码
,需要将其转换为
MIPS
64,有优化
和
没有优化。我一直
在
尝试
和
gcc一起把它转换成x86,但是这个转换过程要复杂得多。此外,我一直
在
尝试寻找一种交叉编译器,但一直无法使任何编译器正常工作。任何帮助
和
建议都将非常感谢。 致以亲切
的
问候,
浏览 1
提问于2012-10-09
得票数 0
回答已采纳
1
回答
在建立
MIPS
64位
的
值
研时获取编译错误
、
编译Cavium 时出现
的
错误 /opt/cavium-64bit/tools-3535/bin/
mips
64-octeon-linux-gnu-gcc -std=c99 -msoft- -Wall/VEX/pub -DVGA_
mips
64=1 -DVGO_linux=1 -DVGP_
mips
64_linux=1 -DVGPV_
mips
64_linux_vanilla=1 -DVGABI_64 -/'m_main.
浏览 0
提问于2019-12-20
得票数 1
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
我在架构设计和代码开发中的一些常用原则
Mirai蠕虫病毒变种正在利用12月公告的ThinkPHP高危漏洞传播
在df的一列中 有None和Nan 我想把这些空值都填充为-1 应该怎么操作呢
华为有救了!国产荣光,自主cpu指令集厚积薄发
开源|一款既好用又高颜值的流程编辑器,支持在浏览器中创建、嵌入和扩展 BPMN 图
热门
标签
更多标签
云服务器
ICP备案
云直播
对象存储
腾讯会议
活动推荐
运营活动
广告
关闭
领券