腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
如何
防止
ModelSIM
在
模拟
过程中
停止
?
、
、
我正在尝试
模拟
一个递减计数器,这是我
在
SystemVerilog中使用D锁存器描述的,但当我开始
模拟
时,
ModelSIM
停止
工作,我什么也做不了。Down_Counter_Part5 UUT (rst,clk,enablein,qout,enableout); 我该
如何
解决这个问题
浏览 17
提问于2020-05-15
得票数 1
回答已采纳
2
回答
在
仿真
过程中
访问SystemVerilog代码
、
我现在正在探索SystemVerilog,并寻找
在
模拟
过程中
更改testbench状态的可能性。最明显的方法就是强迫信号,变量,不管什么。还有别的办法吗?很有可能
停止
模拟
并从SystemVerilog控制台调用
ModelSim
函数(或类方法)。你知道怎么做吗?
浏览 6
提问于2014-08-08
得票数 0
回答已采纳
1
回答
当使用-c标志交互运行
modelsim
时,
如何
关闭过多的缓冲?
、
在运行带有-c标志的
modelsim
时,
如何
关闭控制台打印的过度缓冲?示例:VSIM> source run.do run.do只是一个编译设计并运行10毫秒的
modelsim
脚本。当它点击脚本中的vsim命令时出现问题,所有的输出都被缓冲,直到我按下Ctrl-C或者我等待几分钟以完成
模拟
,而不显示
在
模拟
过程中
发生的$display。(它很好的代码可合成的verilog。在按Ctrl-C组合键之前,verilog
模拟</e
浏览 1
提问于2017-11-07
得票数 0
1
回答
在
modelsim
中有修改整数范围的命令吗?
、
举个例子,我有一个计时器进程,有没有这样一个命令来
在
modelsim
模拟
过程中
修改整数变量范围?例如,我知道有"change“命令,但它只修改当前的整数值,而不是整数的”范围“。出于工作目的,我不能更改这些值,只能通过
modelsim
命令来修改这些值。 variable timer : integer range 0 to 500;
浏览 11
提问于2020-04-22
得票数 0
1
回答
当信号
在
ncsim中有价值时立即执行tcl命令
、
、
作为一个
modelsim
用户,我习惯于
在
我的do-文件中编写类似于以下行的内容。when -label supersignal {supersignal == '1'} { puts "blah"} 这将在
modelsim
中运行
模拟
,并且只要我的VHDL-信号supersignal的值为'1‘,就会执行以stop;开头的块。
在
调用ncsim -input dofile.do时,
浏览 0
提问于2014-08-07
得票数 1
回答已采纳
2
回答
是否可以在编译C++代码期间报告每个模块的堆栈使用情况?
、
我正在用
modelsim
做混合语言
模拟
,部分代码是用SystemC (C++)编写的,然后当我使用SystemC代码时,我得到了堆栈溢出。我不确定
如何
追踪这个问题。是否可以在编译C++代码的
过程中
报告堆栈使用情况?
浏览 0
提问于2015-08-11
得票数 0
1
回答
如何
在断点后恢复
ModelSim
(结束单步执行)
、
我是
ModelSim
的新手,我想知道在被断点
停止
后
如何
恢复
模拟
。我有一部分VHDL代码需要研究,因此我
在
该代码块的开头放置了一个断点。但是,这部分代码仅在特定事件发生时输入,因此一旦
模拟
被断点暂停一次,我希望能够恢复它,以便在再次遇到断点之前不会再次
停止
。我假设这是可能的,因为它是编程语言中的一个常见调试功能,我不明白为什么它不能在HDL
模拟
器中实现。 提前感谢
浏览 61
提问于2021-05-04
得票数 0
1
回答
如何
在SystemVerilog中检入信号
在
ModelSim
仿真
过程中
信号很高
我需要检查一下,
在
测试
过程中
,特定的信号是否至少
在
一个时钟周期内到达逻辑1。Mentor
ModelSim
SE64 2021.1进行仿真时,结果非常奇怪。
在
第一次
模拟
中,
模拟
完全忽略了这个断言。
在
第二次
模拟
传递时,
ModelSim
显然使用以前
模拟
的结果在新运行的
模拟
发生之前宣布断言触发: # Time: 2005087500 ps Started: 5 ns Scope:
浏览 10
提问于2022-02-14
得票数 0
回答已采纳
1
回答
在
modelsim
模拟
中无法识别DUT
、
不幸的是,我无法
在
Modelsim
中正确调试代码。事实上,
在
模拟
过程中
,DUT不会显示
在
SIM面板中(我附上了一个截图)。因此,我只能看到测试平台生成的波形,但无法查看内部信号。
浏览 5
提问于2021-07-08
得票数 0
1
回答
Quartus II只
在
模拟
中使用文件
、
、
我想在Quartus里做个
模拟
。因此,我
在
赋值菜单中分配了一个Testbench。我的测试平台包括我的DUT(D)和一个额外的组件(E),它仅用于
模拟
(因此这个组件包括不具有同步性的语句)。如果我将E从Testbench中删除,那么我的
模拟
就会运行,但是当我想将E包含在Testbench中时,我从
modelsim
中得到了错误: my_testbench.vhd(197): (vcom-1195
如何
使Quartus/
Modelsim
编译E文件?
浏览 0
提问于2016-01-16
得票数 7
回答已采纳
1
回答
提高Xilinx ISim仿真速度
、
、
、
、
它需要运行13秒的
模拟
时间,但目前运行
模拟
时间为1ms需要40秒。
在
13秒内,它还会将480000、24位std_logic_vectors写入文本文件。这相当于运行144个小时来运行整个
模拟
(几乎一个星期!)。 是否有一种方法,例如,增加步长或关闭波形绘图等的设置,或任何其他我可以用来提高
模拟
速度的设置?
浏览 0
提问于2016-05-01
得票数 4
回答已采纳
1
回答
VHDL断言:为
modelsim
消息查看器设置类别
、
当我用VHDL语言编写断言时,它们以
ModelSim
格式显示
在
消息查看器中,类别为"Misc“。
浏览 2
提问于2013-04-27
得票数 1
2
回答
如何
在没有竞争条件的情况下并行运行多个
modelsim
实例?
、
、
我想用多个参数并行运行相同的
模拟
。我该怎么做呢?当多个实例试图编译到相同的文件夹并更改相同的
modelsim
.ini文件时,
如何
防止
争用情况?while ($x <= $num_processes)end 由于几个不同的原因,启动vsim
在
某些情况下是失败的。
浏览 2
提问于2017-10-06
得票数 0
2
回答
配置
ModelSim
模拟
以显示文本
、
我可以进行
ModelSim
模拟
以
在
信号上显示文本(而不是数字值)吗?localparam S_IDLE = 2'b00; localparam S_STOP = 2'b10; 是否有一种方法来显示S_IDLE,例如,
在
信号上而不是
在
浏览 0
提问于2013-08-20
得票数 8
回答已采纳
1
回答
从Libero TCL命令启动
modelsim
、
当我
在
Libero中单击“
模拟
”时,
modelSim
启动,我将看到
模拟
的结果。我可以执行“执行脚本.”SIM_PRESYNTH} ...and --这似乎工作得很好(我收到了诸如“启动Simulation...Simulation completed...The执行脚本成功”之类的消息).但是我没有打开一个
modelSim
窗口来显示我的
模拟
结果。
如何
让
modelSim
在
模拟
浏览 2
提问于2016-01-07
得票数 0
1
回答
将进度记录到输出文件
、
我正在运行
Modelsim
来做一个长时间的
模拟
。我希望有一个tcl脚本来创建这个输出,并在
模拟
过程中
将其记录到一个文件中。但是,我不知道
如何
从
modelsim
命令行(TCL)将当前的系统日期和时间打印到这个文件。是否有任何方法打印系统数据/时间文件
在
TCL脚本中?
浏览 0
提问于2018-10-29
得票数 1
回答已采纳
2
回答
Verilog: Vivado合成工具,自动将信号添加到灵敏度列表中吗?
、
、
always @(i) if(i) else end
在
合成此代码之后,
在
使用
ModelSim
模拟
器进行后合成-仿真
过程中
,我得到以下结果:似乎Vivado会自动将"b“信号添加到敏感度列表中,不是吗?
浏览 2
提问于2016-12-27
得票数 2
回答已采纳
1
回答
如何
用
ModelSim
自动
模拟
顶层VHDL实体?
、
在
调用vsim命令时,
如何
使
ModelSim
自动使用顶级VHDL实体(或多个实体)?我正在编写一个运行VHDL
模拟
的通用脚本。目前,我正在执行以下操作来编译和
模拟
:vsim -c -do "onElabError resume; run -all; exit" MY_TB
如何
使
ModelSim
在
不显式指定的情况下自动
模拟
MY_TB。
浏览 4
提问于2013-12-14
得票数 1
2
回答
如何
在
ModelSim
中添加altera进行仿真?
、
、
、
、
在
使用顶级文件(VHDL)和Altera特定的PLL编译了一个项目(使用Quartus)之后,我尝试用
ModelSim
来
模拟
它。当我启动RTL
模拟
时,我会在文件夹work (在库窗口中)中看到我的顶级文件,但看不到PLL (Verilog文件)的Altera实例。问题:
如何
设置Quartus或
ModelSim
以查看我的顶级文件和 Altera实例?
浏览 2
提问于2016-05-18
得票数 1
回答已采纳
5
回答
ModelSim
错误加载设计
、
我正在设计一个主从D触发器的
ModelSim
实现.编译(Compile > Compile All)之后,我
在
控制台中键入vsim,唯一的错误是# Start time: [time]
浏览 8
提问于2015-04-09
得票数 1
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
CAD绘图过程中,该如何防止重要数据意外丢失?
计算机的使用过程中如何防止黑客入侵
如何防止在淘宝上购买到假票?
能模拟手动开车的可穿戴设备:防止你在自动驾驶车辆中晕车
研究人员开发可穿戴设备模拟手动开车防止乘客在自动驾驶车辆当中晕车
热门
标签
更多标签
云服务器
ICP备案
对象存储
腾讯会议
云直播
活动推荐
运营活动
广告
关闭
领券