我试图为iOS构建C库,如下所示。
我已经构建了liblib.a,但是它在使用liblib.a后编译错误。
当使用liblib.a时,错误日志如下所示
file was built for archive which is not the architecture being linked (armv7): /Users/apk/Desktop/libTest/liblib.a
Undefined symbols for architecture armv7:
liblib.a似乎不支持armv7,但我在构建设置->体系结构时没有找到armv7和arm64。
如何为C code
我从外部的std_logic_vector接收到二进制值,即表示应该设置为1的位,其他的设置为0。据我所知,它是解码器,但是用"when“语句来解决这个问题会占用很多代码行,而且它是不可重构的。
示例:
signal number : std_logic_vector(7 downto 0);
signal output : std_logic_vector(255 downto 0);
output <= output(to_integer(unsigned(number))) and (others=>'0');