腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
请澄清
VHDL
中顺序执行和并发执行的概念。
、
、
、
、
我的目标是学习
VHDL
。library IEEE; entity hex_display
浏览 3
提问于2016-07-07
得票数 3
回答已采纳
2
回答
在play框架中使用Gson读取json文件
、
、
或者创建自己的
编
/
译码
器功能,如何解析该文件,以便我可以按我的意愿提供datatable。
浏览 7
提问于2011-09-13
得票数 0
1
回答
VHDL
正交
译码
器:顺序/组合逻辑
、
、
、
我正在用
VHDL
实现一个正交解码器,并提出了两种解决方案。 在方法1中,所有逻辑都放在对时钟和重置敏感的一个进程中。在Spartan-3A上,它使用四个切片、七个FF和四个输入LUTs。
浏览 7
提问于2014-04-14
得票数 4
回答已采纳
1
回答
为什么只有错误的解码对小奇偶有很高的错误校正率?
、
本文提出了Reed所罗门( RS )码的擦除
译码
不会引起误码,但在校正能力太低的情况下,仅对RS码的错误
译码
就会产生很高的误码率。根据我的理解,我认为擦除
译码
和仅错误解码的区别在于,擦除解码不需要计算错误位置。另一方面,只有错误的
译码
需要知道错误的位置,这可以通过Berlekamp-Massey算法来计算。
浏览 6
提问于2020-09-28
得票数 0
回答已采纳
3
回答
VHDL
标记在使用ctags+taglist的vim中效率不高
、
、
、
我不知道ctags对
VHDL
的支持是不是很弱,或者Taglist读取ctag创建的文件的效率是否很低。非常感谢。
浏览 8
提问于2013-05-29
得票数 3
回答已采纳
1
回答
变压器模型的输入
、
、
、
在
译码
器的哪一步,法语单词的预测正在发生? 在
译码
器中嵌入输出是否只是
译码
器的输出直到预测,如果是这样的话,为什么我要掩盖下一个词,因为这对我来说是未知的,因为我还没有通过输出。
浏览 1
提问于2021-01-05
得票数 1
2
回答
如何禁用对文件中一段文本的解析?
、
、
、
、
`pragma TOKEN2_NAME TOKEN2_VALUE`pragma TOKEN4_NAME TOKEN4_VALUE}
VHDL
_TEXT{ } 我需要将
VHDL
文本传递给输出文件。我面临的问题是如
浏览 3
提问于2015-01-04
得票数 0
回答已采纳
1
回答
重
编
或重
编
、
我有一个React应用程序,它也使用和。 如果我想使用compose从recompose构建高阶组件,是否存在已知的可能问题?
浏览 2
提问于2016-09-06
得票数 4
回答已采纳
1
回答
在powershell中,[Text.RegularExpressions.RegexOptions]::IgnoreCase -bor [Text.RegularExpressions.RegexOptions]::Multiline
= @()$
vhdl
+= "use ieee.std_logic_1164.all;"$
vhdl
+= ""$
vhdl
+= "entity mydesign is" $
vhdl
+= "
浏览 11
提问于2022-05-22
得票数 0
1
回答
在
vhdl
代码中获取警告错误
、
我一直在我的代码中得到一个奇怪的错误,它编译得很好,但我总是得到一个警告:警告:未连接,内部信号\s(1)D\被提升为输入PIN。library IEEE;port( DATA: in std_logic_vector(3 downto 0);
浏览 1
提问于2014-06-19
得票数 0
2
回答
为什么Modelsim 10不能编译旧代码?
、
我最近刚刚升级到Modelsim 10,当我重新编译我所有的代码时,37个代码中只有30个进行了编译。那些不能编译的有一个常见的错误我只是简单地包含了std_logic的包,将位改为std_logics,它神奇地修复了第一次重新编译时的问题(对我来说这是很少见的)。我的问题是,为什么新的编译器(如果它是新的)不接受bit & unsigned(N降到0)。是不是某种新的标准迫使HDL程序员使用更多的抽象?我看到了一个类似的问题,解决了我的问题,但我想知道为什么编译突然不同了。
浏览 1
提问于2011-01-26
得票数 2
回答已采纳
2
回答
子类型指示
VHDL
200X的非法语法
、
、
OUTPUT_DATAWIDTH-1) downto 0) := (others => (others => '0')));这是我第一次使用更新的编译器(
VHDL
200X),我不认为我做错了这件事,但是我不会收到这样的消息:
VHDL
\CommonBlocks\DynamicRegisterSet\Sources\DynamicRegisterSet.vhd“第25行
浏览 2
提问于2016-05-02
得票数 4
回答已采纳
1
回答
如何在文本文件中创建Tcl命令列表,然后在ISim中运行?
、
、
似乎比每次单独打字要方便得多。#Sample Script: run 20 ns run 20 nsquit
浏览 5
提问于2015-07-24
得票数 2
回答已采纳
2
回答
VHDL
信号分配混淆
、
当时我正在学习
VHDL
,遇到了一个我找不到答案的问题。
浏览 1
提问于2015-01-25
得票数 1
回答已采纳
2
回答
在tcl中用regex识别换行符
、
、
我有一种表达方式:
VHDL
language standard: 3 (
VHDL
-2008)
VHDL
languagestandard: 3 (
VHDL
-2008)如你所见,我不知道如何识别{
浏览 6
提问于2014-01-14
得票数 2
回答已采纳
1
回答
译码
器和解码器。差异
、
、
、
在
译码
器的上下文中,什么是预
译码
器? 作者介绍了宏指令的高速缓存。我不能解释为什么它会有用,毕竟,我们有缓存指令。什么是回环缓冲器?
浏览 2
提问于2016-04-11
得票数 1
回答已采纳
2
回答
无
译码
通用可
译码
、
我正在使用一种通用方法来解码由JSONresponse生成的AlamoFire。我的职能如下: return Network.request(URLRequest) do { let jsondata = try JSONS
浏览 1
提问于2018-09-27
得票数 0
回答已采纳
1
回答
试图使用rescript-json-组合子解码事件
、
我不知道如何替换“解码器(
译码
器)”,因为
译码
器已经在转录-json-组合器中变得抽象了。尝试使用Decode.decode是行不通的。知道如何解决这件事吗?
浏览 15
提问于2022-10-01
得票数 0
回答已采纳
1
回答
用SystemVerilog二维数组实例化
VHDL
实体
、
、
关于如何在
VHDL
和SystemVerilog之间传递2D数组的文档似乎很少。我在
VHDL
中有以下类型的端口: type my_array_t is array (natural range <>) of std_logic_vector从SystemVerilog实例化
VHDL
模块: .my_input(my_input_s), .my_output(my_output_s请注意,在我的例子中,我没有更改<e
浏览 11
提问于2022-05-20
得票数 0
回答已采纳
2
回答
可以用
VHDL
编写类型泛型实体吗?
、
、
所以,我最近继承了一些
VHDL
代码,我的第一反应是,"
VHDL
有结构,为什么他们到处都使用位向量?
浏览 0
提问于2012-06-05
得票数 5
回答已采纳
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
使用VHDL代码优化局域网监控软件
包你一看就会的 QAR 译码
浙商大学子研发飞行数据译码分析系统 助力民航出行安全
IEEE Spectrum 2019 编程语言排名出炉:Matlab进前十,VHDL,Verilog排:32,33
编学编玩scratch新生作品点评2
热门
标签
更多标签
云服务器
ICP备案
对象存储
即时通信 IM
实时音视频
活动推荐
运营活动
广告
关闭
领券