腾讯云
开发者社区
文档
建议反馈
控制台
登录/注册
首页
学习
活动
专区
工具
TVP
最新优惠活动
文章/答案/技术大牛
搜索
搜索
关闭
发布
精选内容/技术社群/优惠产品,
尽在小程序
立即前往
文章
问答
(9999+)
视频
沙龙
1
回答
SystemVerilog
:
可以
让
`
定义
基于
包
参数
的
值
吗
?
、
是否
可以
根据封装
参数
的
值
指定` `define
参数
?F.ex:`define MODULE_A_INCLUDED诚挚
的
问候, 埃琳娜·H。
浏览 7
提问于2016-08-19
得票数 0
1
回答
SystemVerilog
函数
可以
返回
包
中
定义
的
类型
的
值
吗
?
SystemVerilog
函数
可以
返回
包
中
定义
的
类型
的
值
吗
?在声明函数
的
类型之前,如何导入
包
?
浏览 3
提问于2017-03-24
得票数 1
回答已采纳
3
回答
在系统verilog中随机化dut
参数
、
、
、
我正在用system verilog为dut编写一个测试平台,在现场,
参数
DEPTH可能会改变,所以我一直在尝试找出如何随机化
参数
。它当前设置为20,但它
的
范围是7到255。我知道你不能直接在脚本中随机化它,但我听说其他人通过创建一个
包
来做这件事,他们
可以
在测试中运行,
可以
插入随机
值
作为
参数
。
浏览 0
提问于2015-07-21
得票数 1
4
回答
为仿真和综合
定义
不同
的
参数
值
、
、
、
我使用
的
是
systemVerilog
,我有一个
包
,它保存了一些模块
参数
值(例如parameter SPI_RATE = 2_000_000;)。有什么方法
可以
为仿真设定一个
值
,为综合设置一个不同
的
值
吗
?(我正在使用ModelSim)。例如,我想要这样
的
东西:parameter SPI_RATE = 2_000_000;else begin parameter SPI_RA
浏览 5
提问于2020-03-31
得票数 3
回答已采纳
1
回答
verilog中
的
断言
、
、
我是新来
的
,所以请轻松一点。 断言在verilog中可用
吗
?或者他们是
systemVerilog
的
一部分?像大多数新来的人一样,我抓起了一本关于verilog
的
书,其中包含了一章关于验证
的
内容(其中包含了断言,其中有20多个)。它还提到了OVL,我猜它有某种类型
的
头文件(或包含这些
定义
的
库)。Xilinx网站提到
systemVerilog
只支持Vivado设计套件,但是这个套件不支持Spartan3A板(我也不知道<
浏览 3
提问于2015-06-28
得票数 0
2
回答
如何在
systemverilog
中获得作为plusargs
的
值
数组?
、
、
如何在
systemverilog
中获取作为
参数
的
值
数组,我
的
要求是,我需要从命令行获取一个未
定义
大小
的
命令数组,以及如何将这些
参数
获取到一个数组/队列+CMDS=READ,WRITE,READ_N_WRITE:它应该被带到一个数组中
吗
?
浏览 13
提问于2013-12-11
得票数 5
回答已采纳
7
回答
在
SystemVerilog
包
中处理
参数
化
、
SystemVerilog
添加了一些
包
,为公共代码片段(函数、类型、常量等)提供命名空间。但是,由于
包
不是实例化
的
,所以它们不能
参数
化,因此处理
参数
化
的
成员是有问题
的
。在实践中,我发现这很有局限性,因为我
的
自
定义
类型经常有一些
参数
来指定字段宽度等。我通常通过使用带有默认
值
的
参数
来处理这个问题,并且只知道我需要重新修改一些应用程序
的</em
浏览 3
提问于2010-10-09
得票数 11
1
回答
如何在Verilog中
的
多个文件之间共享常量?
、
我在Verilog中使用了一个简单
的
UART,作为ASCII
值
定义
的
一部分。'h63;parameter ASCII_e = 8'h65;等等,我试图找到最好
的
方法来创建这些
参数
一次我习惯了VHDL,当您
可以
创建一个
包
,并包括您喜欢
的
包
。我知道Verilog<e
浏览 2
提问于2016-08-15
得票数 3
回答已采纳
1
回答
系统Verilog函数返回
值
作为
参数
化位向量
、
我需要在
SystemVerilog
中创建一个返回
值
作为
参数
化位向量
的
函数。我
的
代码如下: bit [ADDR_WIDTH-1:0] address; return address;我在函数声明中得到一个编译时错误,指出没有
定义
参数<
浏览 2
提问于2013-08-20
得票数 0
1
回答
在
SystemVerilog
的
for循环中使用总线
的
正确方式?
、
我正在尝试用
SystemVerilog
做一个模块,它
可以
找到两个向量之间
的
点积,最多有8个8位
的
值
。我试图
让
它对不同长度
的
向量更灵活,所以我有一个称为EN
的
输入,它是3位
的
,它决定了要执行
的
乘法次数。 因此,如果EN == 3'b101,则每个向量
的
前五个
值
将相乘并相加,然后输出为32位
值
。然而,
SystemVerilog
告诉我,在i和
浏览 8
提问于2019-11-27
得票数 0
1
回答
大小可配置
的
Systemverilog
localparam数组
、
、
我想在
SystemVerilog
中创建并
定义
一个本地
参数
数组。数组
的
大小应该是可配置
的
,并且每个localparam数组单元
的
值
都是根据它
的
位置计算
的
。
SystemVerilog
中“显而易见”选项应该是generate,但是我读到将
参数
定义
放在一个generate块中会生成一个相对于generate块()中
的
分层作用域
的
新
的
本地
浏览 0
提问于2016-12-19
得票数 1
2
回答
理解函数返回
值
我正在尝试从语言资源手册(第10.3.1节)中理解
SystemVerilog
function返回
值
,但在掌握下一节时遇到了困难。有人能帮我解释一下
吗
?我试着寻找不同
的
网站,但信息没有那么深。在
SystemVerilog
中,函数返回
可以
是结构或联合。在这种情况下,函数内部使用并以函数名称开头
的
层次结构名称被解释为返回
值
的
成员。如果函数名在函数之外使用,则名称指示整个函数
的
范围。如果函数名在层次结构名称中使用,它还指示
浏览 22
提问于2014-08-20
得票数 3
回答已采纳
1
回答
通过TLM端口将浮点数从
SystemVerilog
传输到SystemC
、
我用C/C++实现了一个特定
的
过滤器,“封装”在SystemC-模块中。我想在
基于
SystemVerilog
的
实际验证环境(VE)中使用这个过滤器。对于TLM,有一种叫做“泛型有效载荷”
的
东西,基本上
定义
了什么
可以
通过TLM传输,TLM是一个字节数组。 因此,我需要将VE中
的
数据样本从数据类型real转换为字节数组。我想要做
的
是创建一个联合类型,这样我就
可以
存储一个实
值
并读取一个字节数组。
浏览 0
提问于2018-10-08
得票数 0
回答已采纳
1
回答
SystemVerilog
在不损失可读性
的
情况下将一系列任务转换为单独
的
文件
、
我为我
的
一些代码创建了一个测试平台,并随着时间
的
推移慢慢地添加到它中。它对于一个文件来说真的太大了,所以我想把任务移到单独
的
文件中。data = 20; else begin end endtask 变成类似这样
的
东西outputs, but it seems very wrong to do something like this:do_that(.data_in(data),.data_out(d
浏览 1
提问于2015-02-07
得票数 2
2
回答
Verilog中
的
参数
数组
、
可以
在Verilog中创建一个parameter数组
吗
?例如,如下所示:如果这是不可能
的
,什么是替代
的
解决方案?
浏览 7
提问于2014-05-07
得票数 4
回答已采纳
1
回答
如何将
参数
应用于数据
包
,以便TC对其进行排序\分类
、
、
我想在数据
包
中添加一个
参数
,这样以后我就
可以
让
TC (HTB在特定情况下)对数据
包
进行优先排序(
基于
对该
参数
的
过滤)。我考虑过通过socket进行过滤,但据我所知,它不是
包
的
一部分,为了
让
TC过滤这些
包
,还有什么
可以
修改
的
吗
? 我知道net_cls,但它只区分cgroups,而我希望区分具有相同任务id
的
流。
浏览 0
提问于2014-10-23
得票数 1
3
回答
Linting:比较Verilog
参数
和常量字符串
、
、
我们有一个带有字符串
参数
的
模块xxx。xxx;if (PAR == "abc") begin endgenerate 我们实例化模块并传递一个
参数
值分析
值
"abcd“是32位,但"abc”是24位。有没有一个很好
的
解决皮棉问题
的
方法?
浏览 0
提问于2020-09-17
得票数 1
2
回答
您能在
systemverilog
文件中导入vhdl
包
吗
?
、
您能在
systemverilog
文件中导入vhdl
包
吗
?假设我有一个VHDL
包
: use ieee.std_logic_1164.all; packagesig2 : std_logic; end record; 我
可以
在这样
的
系统sigtype1
浏览 24
提问于2022-01-19
得票数 -1
2
回答
从ModelSim调用
SystemVerilog
命令
、
有办法从ModelSim调用force -freeze命令(例如force -freeze)
吗
?
浏览 5
提问于2014-08-12
得票数 1
回答已采纳
1
回答
作为模块
参数
的
数组
、
、
如何将常量数组作为模块
参数
传递? 我想建立一个移位寄存器宽度不同
的
移位宽度。
可以
通过模块
参数
定义
可能
的
移位宽度。我尝试了下面这样
的
方法,但这不起作用。在
SystemVerilog
中,这种具有不同宽度
的
移位寄存器
的
通用结构是可能
的
吗
?
浏览 0
提问于2015-12-02
得票数 1
点击加载更多
扫码
添加站长 进交流群
领取专属
10元无门槛券
手把手带您无忧上云
相关
资讯
Verilog/SystemVerilog 设计编码风格指南
一起学IC验证SV 003
Chisel是什么
请问C+11有哪些新特性?
Rust闭包的虫洞穿梭
热门
标签
更多标签
云服务器
ICP备案
云直播
对象存储
腾讯会议
活动推荐
运营活动
广告
关闭
领券