首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往

10.1 调试事件读取寄存器

当读者需要获取到特定进程内的寄存器信息时,则需要在上述代码中进行完善,首先需要编写CREATE_PROCESS_DEBUG_EVENT事件,程序被首次加载进入内存时会被触发此事件,在该事件内首先我们通过...lpStartAddress属性获取到当前程序的入口地址,并通过SuspendThread暂停程序的运行,当被暂停后则我没就可以通过ReadProcessMemory读取当前位置的一个字节机器码,目的是保存以便于后期的恢复...得到线程上下文异常产生的首地址,当得到首地址后,则可以调用GetThreadContext(hThread, &context)得到当前线程的上下文,一旦上下文被获取到则读者即可通过context.的方式得到当前程序的所有寄存器信息...,为了让程序正常执行当读取结束后,通过WriteProcessMemory我们将原始机器码写回到内存中,并SetThreadContext设置当前上下文,最后使用ResumeThread运行该线程; void...ResumeThread(hThread); CloseHandle(hThread); CloseHandle(hProcess); } 当这段程序被运行后,读者可看到如下图所示的输出信息,该进程中当前寄存器的状态基本上都可以被获取到

18820

10.1 调试事件读取寄存器

当读者需要获取到特定进程内的寄存器信息时,则需要在上述代码中进行完善,首先需要编写CREATE_PROCESS_DEBUG_EVENT事件,程序被首次加载进入内存时会被触发此事件,在该事件内首先我们通过...lpStartAddress属性获取到当前程序的入口地址,并通过SuspendThread暂停程序的运行,当被暂停后则我没就可以通过ReadProcessMemory读取当前位置的一个字节机器码,目的是保存以便于后期的恢复...得到线程上下文异常产生的首地址,当得到首地址后,则可以调用GetThreadContext(hThread, &context)得到当前线程的上下文,一旦上下文被获取到则读者即可通过context.的方式得到当前程序的所有寄存器信息...,为了让程序正常执行当读取结束后,通过WriteProcessMemory我们将原始机器码写回到内存中,并SetThreadContext设置当前上下文,最后使用ResumeThread运行该线程;void...ResumeThread(hThread); CloseHandle(hThread); CloseHandle(hProcess);}当这段程序被运行后,读者可看到如下图所示的输出信息,该进程中当前寄存器的状态基本上都可以被获取到

18920
  • 您找到你想要的搜索结果了吗?
    是的
    没有找到

    RGMII接口调试使用VIO读取PHY寄存器

    这时,常常需要使用VIO去读取PHY里面对应寄存器的值,看是否工作在正常RGMII接口时序模式。 测试场景 测试拓扑图如下 ?...也就是说,可以通过读取PHY芯片相应寄存器的方法来看默认的工作模式。...用VIO方法读取或配置PHY芯片的寄存器 在没有CPU的情况下,对PHY芯片中寄存器在线读取的最好办法使用VIO通过MDIO接口对PHY芯片中的寄存器进行读取。...具体的测试步骤为:通过VIO配置MDIO管理模块PHY地址、寄存器地址,然后选择读操作读取某一PHY芯片的寄存器的值,结合PHY的工作状态,判断该寄存器是否被正确读取。...图9 寄存器的地址 ? 图10 读取寄存器数值 ? 图11 用windows自带的计算器看二进制 确认完之后,Testcenter打流,一切OK。

    4.3K21

    Linux下开发stm32 ③ | 寄存器工程开发

    先来回顾一下前两篇 Linux下开发stm32(一) | 使用gcc-arm-none-eabi工具链编译 Linux下开发stm32(二) | 使用openocd下载与调试 前两篇我们介绍了如何创建一个空的裸机工程...main文件),并编译工程生成elf文件,然后将其转为bin格式或hex格式,使用openocd下载,最后编写了一个makefile雏形,并成功点亮了一个LED~ 但是这个LED我们是通过指针直接操作寄存器地址来完成的...,接下来,我们在此基础上,引入stm32头文件,其中包含了寄存器的宏定义,也就是使用寄存器进行开发~ 1.创建寄存器工程 首先将上一节中创建的裸机工程00-template-reg复制一份出来,改名为01...0x40010c00+0x0c) &= ~(1<<0); GPIOB->ODR &= ~(1<<0); while(1); } void SystemInit(void) { } 至此,寄存器工程创建完毕...,接下来开始编译~ 2.编译&下载寄存器工程 编译寄存器工程的重点就在于C头文件和C源文件,启动文件的编译和链接都和之前一样。

    1.2K30

    python024_vim读取文件_从头复制到尾_撤销_重做_reg_寄存器

    观察寄存器 :reg 观察寄存器 默认寄存器(")中 复制了 print("hello")^J 快速移动 粘贴 paste 怎么移动 光标来着?...现在 需要 根据oeasy.py 生成新的 guido.py 文件 guido.py #用vi打开并编辑guido.py vi guido.py 打开guido.py后 这是一个新的文件 读取...read :r oeasy.py 读取 oeasy.py 到 当前缓存 r 的意思是 read 读取 回车之后 oeasy.py 内容 已导入 光标 位于 第二行第一个字符 整理代码...可以 看一下 寄存器状态 吗?...寄存器状态 观察寄存器状态 键入:reg 默认寄存器""(两个双引号) 如红框所示 确实 是两行文本 其中的 ^J是回车 回车 切换回 正常模式 准备粘贴 小写p粘贴 当前光标

    10110

    无名寄存器

    在计算机里面也有寄存器,计算机中的寄存器是看得见,摸得着的实体,寄存器中存储需要经常访问的一些数据。...而vim中也有寄存器的概念,vim中的寄存器是一个虚拟的概念,更像是一块专门用来存储数据的内存缓冲区。在使用vim的过程中离不开寄存器,而且我们很早就用到了寄存器,只是没有发现罢了。...为了解决这个问题,一个思路就是使用有名寄存器。 vim中提供了由a到z的有名寄存器,可以在使用operator 的操作前面指定需要使用的寄存器,引用一个寄存器可以使用 " + 寄存器名的格式。...黑洞寄存器 前面说到 dd会将被删除内容放入到无名寄存器中,如果这段内容我确实不想要了,也不想它占用寄存器,有没有什么办法彻底删除呢,答案是使用黑洞寄存器,顾名思义,放入该寄存器中的内容都被吸走丢失了,...看不出什么区别,那么我们可以试试使用 :reg 命令来查看有名寄存器和黑洞寄存器的值,我们发现黑洞寄存器的值永远为空,而有名寄存器此时多了一条记录。

    85810

    2.2寄存器

    2.2寄存器 | 通用寄存器 数据寄存器 AX 累加器 AH AL BX 基数寄存器 BH BL CX 计数寄存器 CH CL DH 数据寄存器 DH DL 变址寄存器 SI 源变址寄存器...DI 目的变址寄存器 指针寄存器 BP 基址指针寄存器 SP 堆栈指针寄存器寄存器 DS 数据段寄存器 ES 附加段寄存器 SS 堆栈段寄存器 CS 代码段寄存器 控制寄存器...IP 指令指针寄存器 PSW 状态标志寄存器 1)数据寄存器 AX一AH(高字节)、AL(低字节)—累加器 BX—BH、BL—基数寄存器 CX—CH、CL—计数寄存器 DX—DH、DL—数据寄存器...—基址指针寄存器:存放位于堆栈段中的一个数据区基址的偏移地址。...3)变址寄存器:存放当前数据段的偏移地址 SI——源变址寄存器 DI——目的变址寄存器 4)指令指针寄存器IP 存放着BIU要取的下一条指令的偏移地址。

    11310

    NVIC寄存器

    在STM32中用到了Cortex-M3定义的三组寄存器,有关这三组寄存器的说明不在STM32的技术手册中,需要参考ARM公司发布的Cortex-M3 Technical Reference Manual...在STM32的固件库中定义了三个结构体与这三个寄存器组相对应,这三个结构体与ARM手册中寄存器的对应关系如下: 一、NVIC寄存器组 STM32的固件库中有如下定义: typedef struct {...Interrupt Clear-Pending Register IABR = Active Bit Register IPR = Interrupt Priority Registers 每个寄存器有...global interrupt 位置59 – DMA2_Channel4_5 = DMA2 Channel4 and DMA2 Channel5 global interrupts 二、系统控制寄存器组...Manage Address Register BFAR = Bus Fault Address Register AFSR = Auxiliary Fault Status Register 三、系统时钟寄存器

    70310

    九种移位寄存器原理与设计(循环(左、右、双向)移位寄存器、逻辑和算术移位寄存器、串并转换移位寄存器、线性反馈移位寄存器LFSR)

    按移位方向分类:①单向移位寄存器(包括左移、右移)②双向移位寄存器 按循环方式分类:①循环移位寄存器②非循环移位寄存器 按部位的不同分类:①逻辑移位寄存器②算术移位寄存器 按输入输出方式分类:①串入串出...②串入并出③并入串出④并入并出 二、简单循环左移/右移/双向移位寄存器 2.1 简单循环左移/右移/双向移位寄存器 (1)右移移位寄存器 图片 (2)左移移位寄存器 图片 (3)双向移位寄存器 图片 为便于扩展逻辑功能和增加使用的灵活性...,在单向移位寄存器基础上,增加由门电路组成的控制电路,便可构成双向移位寄存器。...一般双向移位寄存器逻辑图示例: 图片 2.2 verilog代码 要求:设计一个四位循环移位寄存器,包括三种移位寄存器,分别是左移移位寄存器、右移移位寄存器、双向移位寄存器。...//三个四位宽的循环移位寄存器 //左移移位寄存器、右移移位寄存器、双向移位寄存器 module sr_simple #( parameter WIDTH = 4 //定义数据位宽 )(

    10.2K20

    8086段寄存器

    8086的访问内存的时候:物理地址=段地址×16+偏移地址,这个段地址由段寄存器来提供。8086有4个段地址寄存器,分别是CS,DS,ES,SS。...其中CS是最为关键的,因为CS:IP指示了CPU需要读取的地址。IP是指令指针寄存器,在这里就作为偏移地址。也就是说:在8086CPU中,任意时刻,CPU都会把CS:IP指向的内容当作指令来执行。...每读取一次之后,IP中的值会自动增加(增加的长度就是所读取到的指令的长度),以便CPU读取下一个指令。 ---- 8086在上电或者复位的时候,CS被设置为0xFFFFH,IP被设置为0x0000H。...此时CPU将去0x7c00处读取指令。...在8086PC中,DS段寄存器通常用来存放要访问的数据的段地址。

    1.1K20
    领券