首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往

VCS与Verdi的联合仿真

虽说verdi、modelsim都是用来调试波形, modelsim与verdi相比,最大的缺点是波形不会全dump,wave窗口拉不全的话需要重新,而verdi边运行边查看。...Dump波形的功能,在不开启该功能时,运行完仿真之后,未退出命令行,直接在新终端中启动Verdi调用波性文件的话是一个文件,没有波形,这是因为只有在结束仿真之后,波形才会Dump为静态文件供verdi...-rdynamic #加载动态库,提示需要加载动态库,如*库文件名录下的.so文件 -P $(Verdi_HOME)/share/PLI/VCS/LINUX64/novas.tab #加载表格文件...$(Verdi_HOME)/share/PLI/VCS/LINUX64/pli.a #加载静态库 五、Makefile脚本设计 要用到的基本指令为:编译、仿真、verdi加载fsdb波形、清除文件 所以在脚本中设计伪指令.../LINUX64/novas.tab \ $(Verdi_HOME)/share/PLI/VCS/LINUX64/pli.a \ -full64

8.5K42
  • 您找到你想要的搜索结果了吗?
    是的
    没有找到

    VCS仿真VHDL VERILOG混合脚本「建议收藏」

    IC小白有感于第一次参与的流片工程,总结了一下参与过程中的Makefile配置,以及一些环境配置,希望能够帮助到大家; 首先VCS要进行VHDL和VERILOG的混合仿真,在进行仿真VHDL时要配置synopsys_sim.setup.../work -- Library Mapping IEEE : $VCS_HOME/linux/packages/IEEE/lib SYNOPSYS : $VCS_HOME/linux/packages...-nc #第一步VCS编译VHDL文件,单独编译每个VHDL文件 VCS1= vhdlcom -nc #将VHDL文件编译成库,方便VERDI导入RTL #Compile...work加载rtl库,-top指名顶层 -ss指名生成的fsdb文件 #simulation command #在vcs编译中加入-R就不用在分开编译仿真了 SIM = ....}/share/PLI/VCS/LINUX/novas.vhd 用来加载novas的库 # start compile vhdl com4: $(VCS3) -f file_verilog.f # start

    1.4K20

    仿真技巧】 0:00 VCS+VERDI+reverse=败者食尘!!

    就像jojo的奇妙冒险中吉良吉影的招式,败者食尘一样,逆转时间 编译选项 要实现这个功能,依赖于VCS和VERDI的联合调试,所以在编译的时候需要使用下面的指令 vcs -full64 -sverilog...编译完成以后,进行仿真的时候,需要使用./simv -verdi,启动仿真。这样就能够直接调用verdi进行联合仿真。 测试代码 本次的测试代码就是简单的4行打印。...从图标形状来开,和联合仿真的正向交互式仿真是一致,功能上他们其实也是对偶的。...总结,文章开头的视频是实操演示,可以关注一下 本次分享了VCS+VERDI的reverse反向运行功能,可以将整个仿真反向运行,从而减少正向仿真的时间消耗。...当然,这必须在VCS+VERDI的联合调试下才可以,如果是VCS单独仿真,dump波形,再使用VERDI离线调试就不能使用。

    1.3K21

    LinuxVCS初体验

    将需要仿真的文件放入同一个文件夹,包括测试文件和代码文件; ? 命令窗中进入文件目录: cd Desktop/VCStest ?...编译所有文件: vcs *.v -debug_all -R -gui -l top_log -debug_all:表示调用UCLI和DVE,并为进一步调试DVE建立所需要的文档; -R :表示编译完成之后直接运行可执行文件...,如果缺少此指令,编译完成后VCS就会退出; -gui :表示调用VCS图形界面; -l :top_log 记录编译过程日志,内容打印在top_log文件中; 运行完如下图 ?...选中仿真的信号,add to waves > new wave view ? 设置仿真时间,这里设置50us;然后点击蓝色向下箭头,即可开始仿真; ?...仿真结果图(界面操作与modelsim类似) ? 仿真完后会出现如下文件 ?

    1.7K20

    验证仿真提速系列--认识“时间”与平台速度定量分析

    一个case,对于linux系统来说,就是一个或多个进程,而这个wall clock time,它是进程运行的时钟总量。...这个时间是为了表示实际电路的运行时间,给电路仿真建模的一个“数字”。...如何定量分析验证平台的时间和资源,我们以VCS工具为例(其他家工具大家自行探索),一般可以有两种抓取性能信息的方式,一种是以“轻量级”的方式输出编译和运行仿真过程中的性能汇总信息,一种是相对“重量级”的方式进一步详细分析仿真运行性能信息...这里提一个点,我们前面讨论3种时间的时候可以了解到:即使是同样的case,同样的种子,跑出来的时间统计信息也一定会因为磁盘状态等原因而不同。...增加vcs编译选项 -lca -simprofile 增加simv仿真选项 -simprofile time (这个仿真选项后面除了跟time观测仿真时间信息还可以加:如mem收集服务器内存消耗信息等

    1.9K30

    平头哥开源项目wujian100_open | 基于VCS+Verdi的仿真

    git clone git@github.com:T-head-Semi/wujian100_open.git 进入wujian100_open/tools/目录,修改setup.csh; 由于我的linux...shell环境为bash,所以新建了一个bash_setup.sh文件来代替setup.csh: 设置vcs、verdi相关的路径和license,然后执行source bash_setup.sh...平台linux应用程序编译连接工具套件安装文件: riscv64-linux-x86_64-*.tar.gz 64位linux平台BareMetal应用程序编译连接工具套件安装文件: riscv64-elf-x86...进入wujian100_open/workdir 目录开始仿真; 目前环境中支持iverilog和vcs 两种工具仿真; 以DMA测试case为例: 在workdir目录下执行命令 : ...../case/dma/dma_test.c 等待仿真结束,仿真结束会打印“Test Pass”表明本次仿真测试通过; 如果安装有iverilog,可以在workdir目录下执行命令: ..

    1.7K33

    【干货】推荐一款FPGA仿真调试鸟枪换炮的工具!

    ModelSim建工程,然后开始仿真,看波形,对比生成的文件。 ? 或者把Vivado和ModelSim关联起来,联合仿真。 ?...同样的工程,ModelSim仿真通过的testcase,再拿专业做芯片仿真工具VCS或者NCVerilog等再做仿真就不一定通过。...但,上面提到的VCS或者NCVerilog等软件是没有Windows版本的,只有Linux版本的工具,这对于习惯了Windows系统环境的FPGA开发人员来讲,为了一个软件,再去熟悉Linux系统的开发环境实在是太麻烦...那么,有没有一种办法,只需要一次仿真,就可以把整个波形储存下来且不占太大空间,然后在追踪BUG时,还可以方便的把波形中出错的地方直接对应到代码中具体某一行上,还可以方便的对某个变量进行后向或者前向追踪...找到对应的代码后,还可以继续找该变量的前驱和后继,同时,随时可以添加进波形中进行查看(这要比ModelSim方便多了,常常因为波形中没有提前添加某个信号,而不得不重新一下仿真)。 ?

    9.1K11

    【白话IC】揭秘20000个VCS任务背后的“搬桌子”系列故事

    实证参数 平台: fastone企业版产品 应用: Synopsys VCS 适用场景: 数模混合电路仿真 系统: Red Hat Enterprise release 5.7(Tikanga) 实证结果...我们先来看看用户自己20000个任务和我们来的效果: 大规模任务验证 20000个任务 我们将本地机房的8台单机构建为一个统一管理的集群,运行20000个VCS任务的时间是用户自己所需时间的约1...关于调度器的相关知识,看这里:亿万打工人的梦:16万个CPU随你 前面讲到我们已经可以同时安排搬两张桌子啦。 但其实,如果你的机器足够多,人(CPU核)足够多,你完全可以同时搬更多的桌子。 ?...AutoDockVina对接2800万个分子》中,我们最多调用了10万核CPU资源对整个VS数据库进行虚拟筛选。 ? 当集群达到如此规模之后,手动管理是不可想象的。...我们的前两篇EDA云实证可以了解一下: 《从30天到17小时,如何让HSPICE仿真效率提升42倍?》

    69730

    Android上面Linux

    其中,比较常见的有各种模拟器、虚拟机在windows上面Android、Linux,大家估计都习以为常,Android上面windows也是Android 13做的一个有趣的新功能:工作虚拟化支持(...不是重点,在这就不过多阐述),但是,你知道么,Android上面可以Linux了,重要的是,还无需root。...使用方法 [neofetch] 因为 Termux 是一款 Linux 终端模拟器,因此,在命令的使用方面和 Linux 是一致的。在这里,我将介绍一些针对 Termux 工具的一些使用方法。...以往的解决方案一般是微信或者 QQ 来作为中转站,现在,可以利用 Termux 搭建一个简单的文件服务器来实现。...此时,另外一台处于相同局域网(例如 Wi-Fi)的设备在浏览器地址输入 192.168.2.104:8000 即可访问文件服务器了。

    2.3K41

    你的case真的pass了吗?

    单独一个Case的时候,人工查看没有问题。...脚本排查仿真log很可能发现不了任何错误,最终会误判CASE PASS。 这并不是我们所期望的!...2 排查各种运行错误 TestBench自检的错误通常都是我们预先给仿真工具挖的坑,我们是心知肚明的。 实际仿真的时候,还有很多意想不到的错误是仿真工具给我们埋的雷,不仔细看log很容易就忽视了。...而这些错误,很可能意味着仿真激励无效甚至掩盖DUT的bug。 这里Q哥说一些常见的错误,主要手段都是脚本在仿真log里检索错误关键字,排查各种仿真错误,进一步鉴定假PASS。...代码片段3 int类型赋值给枚举 虽然VCS仿真器在编译的时候就会警告这种赋值可能有问题,但是通常编译log里面的Warning实在太多了,根本没注意到。 ?

    1.2K10

    VCS入门教程(一)

    链接:LinuxVCS2014和Verdi2015的联合仿真linux下使用EDA需要有linux基础,ls,pwd,cd, touch等基本命令需要会用。...二、VCS介绍 VCS是编译型verilog仿真器,处理verilog的源码过程如下: 图1 VCS先将verilog/systemverilog文件转化为C文件,在linux下编译链接生成可执行文件,...在linux下运行simv即可得到仿真结果。...VCS使用步骤,先编译verilog源码,再运行可执行文件: 图2 编译命令的格式:vcs sourcefile [compile_time_option] (编译选项用来控制编译过程) 执行仿真命令格式...再考虑一种情形,当使用到VCS更多其他功能时,编译选项会变得很长,在终端上一个一个敲变得十分不方便,我们便可以使用makefile来帮助我们编译仿真

    5.4K12

    IC验证工程师工作半年的成长和感悟

    友情提示:上岗之前先自学一下linux操作和gvim操作, 结合虚拟机上的项目,跑跑VCS仿真,如果有条件verdi看一下波形,这一套顺利走下来,工作上手阶段或许不会被骂菜逼。...幸好我师傅给我演示了几遍仿真全流程,才知道怎么让VCS工作起来。...最开始的testbench的更新都是我师傅做的,比如说VIP的挂载,我师傅白天挂载好了,然后调试通过了,晚上我就在看怎么挂载VIP,怎么config_db去传递,怎么在monitorTLM通信去广播...Coding完一部分case,会去仿真debug,同时也在写新的case,同步进行。...等到写完所有case,并且初步debug没有问题了,就开始跑回归,大批量多次数的仿真去发现没有之前没有暴露的问题。

    94010

    3.5小时完成20万分子对接!一键定位分子库+全流程自动化

    如果Linux版,这一时长就取决于两个点:本地拥有的资源数量和IT能力的高低。 所以,他们有以下几个问题: 1. 基于现实条件,怎么快速达成LeDock20万分子对接任务这个目标? 2....想要对三种难度等级深入了解,看这里《揭秘20000个VCS任务背后的“搬桌子”系列故事》 如果按照“没有难度”这个等级,200000个分子串行排队,一个任务1分钟,我们开头已经算过了,基本没什么现实可操作性...我们还见到过一种特殊情况,AmberGPU任务速度快,CPU较慢,但使用GPU计算时存在10%-15%的失败概率。一旦任务失败,需要调度CPU重新计算。...1分钟告诉你MOE模拟200000个分子要花多少钱 LS-DYNA求解效率深度测评 │ 六种规模,本地VS云端5种不同硬件配置 揭秘20000个VCS任务背后的“搬桌子”系列故事 155个GPU!...AutoDock Vina对接2800万个分子 从4天到1.75小时,如何让Bladed仿真效率提升55倍? 从30天到17小时,如何让HSPICE仿真效率提升42倍?

    2K11

    Linux深度学习实验

    前言 之前一直使用Google Colab实验,因为实验的规模不大,配合Google Drive用起来就很舒服,但是最近要系统地进行实验,规模一下子上来了,Colab经常在代码没完就达到额度上限,...服务器租赁平台会提供登录,直接在那里登录即可,需要用户名和密码 如果本机是Windows系统,可用自带的远程连接,此时需要通过服务器公网IP和密码 通过SSH连接到服务器,可以密码登录,也可用公钥,一般需要公网...只需要先创建一个远程仓库,然后将本地代码和数据集push到仓库,然后在服务器上安装一个git,直接pull拉取代码即可,我觉得用起来很方便,而且自带版本冲突提示,比较方便,但是仍然存在文件大小限制问题...一般来说Windows和Linux会自带这个命令,我们只需要在本地使用该命令,就可以将指定文件(夹)复制到指定服务器的指定位置。具体可以查看菜鸟教程scp命令。这里简单说一下如何使用。...以上便是我在使用Linux服务器深度学习代码遇到的一些问题即解决办法,希望能帮到大家,如果对你有帮助,不妨点赞评论关注!感谢阅读!

    45520
    领券