我有一些问题与样条函数,在net..dias(天)和出租车(利率)是我的电子表格的两个数组,和T是我想知道的天数,我使用了这个函数设置内部的数组它的工作well...but使用电子表格的数组VBA很抱歉;找不到项目或library..someone可以帮助我?谢谢
Function NDF6(T, dias, taxas)
Dim x As Variant
x = T
Dim xin() As Variant
Dim yin() As Variant
Dim input_count As Integer
Dim output_count As Integer
input_cou
这是我的设计,calH.v
module calH(
input clk,
input rst,
input [1:0] xin,
input [15:0] coeff, //4bit per coefficient
output reg [1:0] xout, //1 bit per x
output wire [7:0] H_value
);
reg [7:0] H_p; //processed H
always@(posedge clk or negedge rst) begin
if(~rst) begin //set ini