首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往

VCS常用仿真选项开关及步骤总结

作者:OnePlusZero

1.VCS的仿真选项分编译(compile-time)选项和运行(run-time)选项

1.1 VCS常用的编译选项

1.2 VCS常用的运行选项

1.3  VCS调试模式常用选项

2.VCS/VCSMX 一般仿真步骤

VCS仿真可以分成两步法或三步法, 对Mix language, 必须用三步法。仿真前要配置好synopsys_sim.setup文件,里边有lib mapping等信息。设置环境变量'setenv SYNOPSYS_SIM_SETUP /xxx/xxx/synopsys_sim.setup'. VCS对应的waveform工具有DVE和Verdi, DVE因为是原生的,所以VCS对DVE非常友好。但DVE对uvm等新feature支持地不好。Verdi是Debussy公司的产品,现在已被Synopsys收购并着力发展,所以Verdi是未来的潮流。但由于其原来是Synopsys第三方产品,所以VCS对其支持并不是很友好。如果要支持Verdi,需要设置好NOVAS_LIB_PATH的环境变量,并且在命令行中添加-kdb的option,knowledge database(kdb)是VCS支持Verdi时的重要概念。另外,VCS支持vpd和fsdb两个格式的dump wave。fsdb的文件相对比较小。

Step 1: analysis  verilog/system verilog/VHDL;

命令例子:

Step 2: Elaboration:   

命令例子:

Step 3: Run simulation

命令例子:

在仿真中产生coverage database

1. 在analysis step不需要做特殊处理(vlogan/vhdlan)

2. 在elaboration step需要添加这些option:

3. 在simulation step添加这些option:“-cm line+cond+fsm+tgl+branch”

仿真产生的coverage data会放在simv.vdb目录下,用“dve -covdir *.vdb”会以GUI形式打开。

coverage 相关命令,产生coverage report的命令

例如:

coverage merge的命令

例如:

  • 发表于:
  • 原文链接https://kuaibao.qq.com/s/20230204A0008700?refer=cp_1026
  • 腾讯「腾讯云开发者社区」是腾讯内容开放平台帐号(企鹅号)传播渠道之一,根据《腾讯内容开放平台服务协议》转载发布内容。
  • 如有侵权,请联系 cloudcommunity@tencent.com 删除。

扫码

添加站长 进交流群

领取专属 10元无门槛券

私享最新 技术干货

扫码加入开发者社群
领券