测试VHDL代码逻辑的标准方法是用VHDL编写一个测试平台,并使用像ModelSim这样的模拟器;我已经做了很多次了。
我听说,工程师们现在使用Python来测试VHDL代码,而不是用VHDL编写测试平台。
问题:
这是怎么做的?
- Is this done by writing a test bench in Python and then compiling this Python file or linking into Modelsim?
- Is this done in Python using a module like myHDL and then linking
当我单击按钮Run functional Simulation下时,我会看到以下错误:
Determining the location of the ModelSim executable...
Using: C:\altera\13.1\modelsim_ase\win32aloem
To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options
Note: if both ModelSim-Altera and ModelSim executables are a
使用此代码时:
library IEEE;
use IEEE.Std_logic_1164.all;
use IEEE.Numeric_STD.all;
entity CLOCKDIVIDER_TB is
end entity CLOCKDIVIDER_TB;
architecture BENCH of CLOCKDIVIDER_TB is
--declare component
component ClockDivider
Port(
CLK : IN STD_LOGIC;
RST : IN ST
我目前使用的是modelsim SE 5.8e。它不支持SystemVerilog。我需要使用SystemVerilog来设计和验证我的项目。你知道哪个版本的Modelsim能很好地支持sytemverilog的设计和验证子集吗?我之前使用过VCS,并试图找到它,如果我可以使用Modelsim代替VCS进行模拟。
提前感谢!
使用ModelSim 10.1d运行以下简单代码时
program test;
initial begin
$display("hello world");
end
endprogram
我在看Error loading design。这个问题可以在这里转载:
我知道ModelSim不支持SV断言/覆盖,但是program块呢?
我的问题与modelsim所做的初始化有关。我希望使用特定范围内的整数(例如,范围0到511 )。下面是用VHDL编写的声明:
signal cnt : natural range 0 to 511;
如果我没有初始化这个信号(例如在一个重置中),那么在默认情况下,modelsim会分配最左边的值。对于我的信号,它将是0。
我的问题是,我想强制modelsim在模拟中将其值初始化为'U‘或'X’,而不是最左边的值,这可能吗?
I在Ubuntu20.04上安装了Quartus Prime 21.1,运行良好。
I也有ModelSim 20.1.1,它运行良好的
我在Quartus Prime上找到了通往ModelSim的正确路径,它甚至可以识别版本(不幸的是,我不允许把图片放在这里,但相信我,这部分我做对了)。我试过两种变体:
( a) /home/domvito55/intelFPGA_lite/20.1/modelsim_ase/bin
和
( b) /home/domvito55/intelFPGA_lite/20.1/modelsim_ase/linuxaloem
,但是当我试图从Quartus内部运行Mod
我试图在Ubuntu18.04中执行Modelsim/Questa,但它返回以下错误消息:
Error in startup script:
Initialization problem, exiting.
Initialization problem, exiting.
while executing
"InitializeINIFile quietly"
invoked from within
"ncFyP12 -+"
(file "/mtitcl/vsim/vsim" line 1)
** Fatal: Rea
背景:安装了QUARTUSv16.0的ModelSim v10.4d
我是一个卡丹斯敏锐的用户,现在必须通过导师ModelSim,但在使用ModelSim时,我无法在将所有信号数据添加到波形窗口之前找到获取它们的方法。
例如,
在.do(tcl) ModelSim模拟脚本中,典型的流可以是:
1,vcom : compile all sources files and testbench
2,vsim : load testbench for simulation
3,view structure/signals/wave : open some windows
4,add wave : ad
我试图使用英特尔提供的tcl脚本运行IntelFPGA的示例设计。它报告了在读模式下打开单元文件"blabla“的错误(vlog-7)。没有这样的文件或目录(errno = ENOENT)。我找不到错误。然后我尝试了我以前使用过的一个modelsim项目,它曾经工作过。我得到了同样的错误!有什么问题吗?
在tb_run.tcl开始时(从命令行MODELSIM> VHDL 2008 do tb_run.tcl运行),这个tb_run.tcl文件是由quartus示例生成的
global env ;
# set QUARTUS_INSTALL_DIR "$env(QUART